Welcome![Sign In][Sign Up]
Location:
Search - sd card verilog

Search list

[VHDL-FPGA-VerilogSD_W_R

Description: SD卡读写源代码.用Verilog编写.很不错.值得借鉴.特别对SD卡开发的人员!-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 4096 | Author: ZZ | Hits:

[VHDL-FPGA-VerilogFPGA-SD-COMMUNICATION

Description: 基于QUARTUSII软件 实现FPGA(ATERA CYCLONE II系列)与SD卡SD模式通信 所用语言位verilog HDL-QUARTUSII software implementation based on FPGA (ATERA CYCLONE II series) with SD Card SD mode digital communication language verilog HDL
Platform: | Size: 5064704 | Author: chenbinjie | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio

Description: SD卡读取音频数据,由VGA显示。Verilog HDL语言编写,适用DE2实验箱-SD card reader audio data from the VGA display. Verilog HDL language, the application of the experimental box DE2
Platform: | Size: 3072 | Author: 白雪 | Hits:

[SCMsdcard_spi

Description: 实现了SD的SPI模式,通过了所有的仿真及测试,支持数据的读和写.对SD卡的SPI模式的绝大多数命令都支持.
Platform: | Size: 149504 | Author: 胡博 | Hits:

[Other Embeded programSD_Card

Description: SD CARD SPI mode 驱动源码-SD CARD SPI mode driver source code
Platform: | Size: 83968 | Author: zhang | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio(Modified)

Description: 在DE2开发板上实现的SD卡mp3音乐播放器。硬件部分用Verilog语言编写,在Quartus上编译;软件部分用C语言编写,在Nios2上编译运行。-DE2 development board in the realization of the SD card mp3 music player. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run in Nios2.
Platform: | Size: 3018752 | Author: 符玉襄 | Hits:

[VHDL-FPGA-VerilogSD_verilog

Description: 该代码,只用了硬件描述语言Verilog在完成对SD卡控制器的编写,经济实用-The code, only the hardware description language Verilog in the completion of the SD card controller to prepare, economical and practical
Platform: | Size: 24576 | Author: 宋宜良 | Hits:

[VHDL-FPGA-VerilogDE2_SDCARD

Description: DE2 开发板上,NIOS编程。对SD卡以及USB的读写操作的实例。我的代码及工程绝对完整!代码其实是Verilog编写的。-DE2 development board, NIOS programming. On the SD card and USB examples of read and write operations. My absolute integrity of code and works! Verilog code is written.
Platform: | Size: 11849728 | Author: jiayanfu | Hits:

[VHDL-FPGA-Verilogcmd_pro

Description: 用于SD卡通信控制部分的命令收发部分,verilog语言描述-Communications control part for the SD card send and receive part of the command, verilog language to describe the
Platform: | Size: 7168 | Author: 朱红梅 | Hits:

[VHDL-FPGA-Verilogsd_slave_device

Description: verilog source code for SD card SLAVE DEVICE IP-Core
Platform: | Size: 15360 | Author: Antti Lukats | Hits:

[VHDL-FPGA-Verilogsdcard_mass_storage_controller_latest.tar

Description: 基于wishbone总线的SD Card IP Core,有Verilog和VHDL两种语言版本,包含了FIFO和DMA两种实现方式,是开源的IP Core-Based on the wishbone bus SD Card IP Core, there are two language versions of Verilog and VHDL, including the FIFO and DMA implemented in two ways, is open source IP Core
Platform: | Size: 2271232 | Author: 张亚群 | Hits:

[VHDL-FPGA-VerilogDE2_SD_Card_Audio

Description: 在DE2实现SD卡音乐播放器 编写语言verilog-In the DE2 SD Card music player to achieve the preparation of language verilog
Platform: | Size: 1600512 | Author: 吴鹏 | Hits:

[VHDL-FPGA-VerilogSD_Controller_Verilog

Description: 该程序包是SD卡/MMC卡控制器SDC的verilog语言包,它包括以下4部分:RTL源代码,测试平台,软件仿真文件,说明文件。-This source package is the SD card and MMC card controler model based on the Verilog language. It has the following 4 parts: RTL language, testbench, software simulating files and help document.
Platform: | Size: 1659904 | Author: jinjin | Hits:

[ARM-PowerPC-ColdFire-MIPSsdModel

Description: SD Card的verilog模拟模型,可以配合开发SD Controller使用-SD Card the verilog simulation model can be used with the development of SD Controller
Platform: | Size: 5120 | Author: Lee Josh | Hits:

[VHDL-FPGA-VerilogVerilog-to-do-SD-card

Description: 本文档内是基于Verilog HDL的SD卡SPI模式下的读写程序,内有详细的注释,且通俗易懂。-This document is based on Verilog HDL in the SD card in SPI mode to read and write procedures, which are detailed notes, and easy to understand.
Platform: | Size: 8192 | Author: 快乐天使 | Hits:

[VHDL-FPGA-VerilogSD-verilog

Description: SD卡的读取,可以供大家参考。用Verilog语言编写的-SD card reader-writer source code. Prepared to use Verilog. Is pretty good. Be used for reference. In particular, the development of personnel SD card!
Platform: | Size: 26624 | Author: 管洪炎 | Hits:

[Mathimatics-Numerical algorithmsVerilog-for-SDcard

Description: 啊,我前段时间编这个,当时晕的,用verilog做SD卡的例子网上很少,我当时找了好多C语言的,主要是知道发送命令的顺序和控制流程,你可以先做好SPI部分,运用C程序的发送命令顺序,把SD卡初始化,提取SD卡特定寄存器看成不成功,其实只要SPI时序没问题,一般没问题,之后用Winhex看看你的SD卡的FAT系统,网上有学习用的资料,好好算算数,之后应该可以做到直接读写SD卡,但若想随意读写SD卡工作量太大了,我还没这勇气-Ah, I make this a while ago, at that time, faint, SD card example using verilog do seldom online, I was looking for a lot of C language, mainly know the order of sending command and control process, you can just do SPI part, using the C program to send the command sequence, the SD card initialization, extract the SD carter register as you don t succeed, in fact, as long as SPI timing problem, generally no problem, then use Winhex see your SD card FAT system, online learning with data, to calculate arithmetic, after SD card should be able to do it directly, speaking, reading and writing, but to read and write at random SD card workload is too big, I haven t the courage
Platform: | Size: 5120 | Author: 王宇 | Hits:

[OtherSD

Description: verilog SPI模式下实现SD卡读写-SD card reader to achieve under verilog SPI mode
Platform: | Size: 6187008 | Author: liyan | Hits:

[OtherSD SPI模式verilog外加modelsim仿真结果

Description: SD卡的SPI模式verilog代码,外加modelsim仿真结果。(SD card's SPI mode Verilog code, plus the simulation results of modelsim.)
Platform: | Size: 59392 | Author: qwer.123 | Hits:

[VHDL-FPGA-Verilog用Verilog做的SD卡控制器(有详细的注释)

Description: SDIO 接口,实现SD卡的控制器功能,带有详细的注释(SDIO Interface,to realize the controller of SD Card,and have detail description.)
Platform: | Size: 5120 | Author: 一步一步 | Hits:
« 12 3 »

CodeBus www.codebus.net